libosmocore viterbi decoder

This is merely a historical archive of years 2008-2021, before the migration to mailman3.

A maintained and still updated list archive can be found at https://lists.osmocom.org/hyperkitty/list/baseband-devel@lists.osmocom.org/.

mad mad at auth.se
Tue Apr 26 15:33:18 UTC 2011


Hi Sylvain, hi list!

I saw you commiting a generic viterbi de-/encoder in libosmocore core/conv.c. Just when I  started to learn how to implement something like that some days ago... :-)

Now I'd like to know if there are also some precomputed tables available for next_output and next_state implementing the convolution codes described in GSM 05.03/3.9.

Regarding the soft input bits to the algo, my guess is when just having hard bits you have to simply convert 1 to 127 and 0 to -127 in sbit_t, right?

And what came to my mind while reading the code is that couldn't you improve its performance on punctured codes by remembering the re-inserted bits and excepting them from next accumulated error calculation (line 291)?
If I'm understanding viterbi the right way, as punctured bits doesn't contain any information and introduce some random additional error, only real input bits should be incorporated in state decisions.


Regards,
  Mad




More information about the baseband-devel mailing list