<p>pespin has uploaded this change for <strong>review</strong>.</p><p><a href="https://gerrit.osmocom.org/c/osmo-ttcn3-hacks/+/22728">View Change</a></p><pre style="font-family: monospace,monospace; white-space: pre-wrap;">pcu: Fix inadequate restriction on the referenced template parameter<br><br>Fixes:<br>warning: Inadequate restriction on the referenced template parameter<br>`tfi', this may cause a dynamic test case error at runtime<br><br>Same for other parameters.<br><br>Change-Id: If2cadbc7087ac0f99537b9916ef0c23363c9242c<br>---<br>M library/RLCMAC_Templates.ttcn<br>1 file changed, 19 insertions(+), 10 deletions(-)<br><br></pre><pre style="font-family: monospace,monospace; white-space: pre-wrap;">git pull ssh://gerrit.osmocom.org:29418/osmo-ttcn3-hacks refs/changes/28/22728/1</pre><pre style="font-family: monospace,monospace; white-space: pre-wrap;"><span>diff --git a/library/RLCMAC_Templates.ttcn b/library/RLCMAC_Templates.ttcn</span><br><span>index 981061c..6b5fb9f 100644</span><br><span>--- a/library/RLCMAC_Templates.ttcn</span><br><span>+++ b/library/RLCMAC_Templates.ttcn</span><br><span>@@ -422,9 +422,12 @@</span><br><span>        }</span><br><span> </span><br><span>        /* Template for uplink Data block */</span><br><span style="color: hsl(0, 100%, 40%);">-    template RlcmacUlBlock t_RLCMAC_UL_DATA(template CodingScheme cs, template uint5_t tfi,</span><br><span style="color: hsl(0, 100%, 40%);">-                                         template uint4_t cv, template uint7_t bsn,</span><br><span style="color: hsl(0, 100%, 40%);">-                                              template LlcBlocks blocks := {}, template boolean stall := false) := {</span><br><span style="color: hsl(120, 100%, 40%);">+        template (value) RlcmacUlBlock t_RLCMAC_UL_DATA(template (value) CodingScheme cs,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                     template (value) uint5_t tfi,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                 template (value) uint4_t cv,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                  template (value) uint7_t bsn,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                 template (value) LlcBlocks blocks := {},</span><br><span style="color: hsl(120, 100%, 40%);">+                                                      template (value) boolean stall := false) := {</span><br><span>                data := {</span><br><span>                    cs := cs,</span><br><span>                    mac_hdr := {</span><br><span>@@ -444,10 +447,13 @@</span><br><span>                         blocks := blocks</span><br><span>             }</span><br><span>    }</span><br><span style="color: hsl(0, 100%, 40%);">-       template RlcmacUlBlock t_RLCMAC_UL_DATA_TLLI(template CodingScheme cs, template uint5_t tfi,</span><br><span style="color: hsl(0, 100%, 40%);">-                                                 template uint4_t cv, template uint7_t bsn,</span><br><span style="color: hsl(0, 100%, 40%);">-                                              template LlcBlocks blocks := {}, template boolean stall := false,</span><br><span style="color: hsl(0, 100%, 40%);">-                                               template GprsTlli tlli) := {</span><br><span style="color: hsl(120, 100%, 40%);">+     template (value) RlcmacUlBlock t_RLCMAC_UL_DATA_TLLI(template (value) CodingScheme cs,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                             template (value) uint5_t tfi,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                         template (value) uint4_t cv,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                          template (value) uint7_t bsn,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                         template (value) LlcBlocks blocks := {},</span><br><span style="color: hsl(120, 100%, 40%);">+                                                      template (value) boolean stall := false,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                      template (value) GprsTlli tlli) := {</span><br><span>            data := {</span><br><span>                    cs := cs,</span><br><span>                    mac_hdr := {</span><br><span>@@ -469,9 +475,12 @@</span><br><span>  }</span><br><span> </span><br><span>        /* Template for uplink Data block */</span><br><span style="color: hsl(0, 100%, 40%);">-    template RlcmacUlBlock t_RLCMAC_UL_EGPRS_DATA(CodingScheme mcs,</span><br><span style="color: hsl(0, 100%, 40%);">-                                               template uint5_t tfi, template uint4_t cv,</span><br><span style="color: hsl(0, 100%, 40%);">-                                                      template uint11_t bsn1, template uint8_t bsn2_offset := 0, template EgprsLlcBlocks blocks := {}) := {</span><br><span style="color: hsl(120, 100%, 40%);">+   template (value) RlcmacUlBlock t_RLCMAC_UL_EGPRS_DATA(CodingScheme mcs,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                             template (value) uint5_t tfi,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                         template (value) uint4_t cv,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                          template (value) uint11_t bsn1,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                       template (value) uint8_t bsn2_offset := 0,</span><br><span style="color: hsl(120, 100%, 40%);">+                                                            template (value) EgprsLlcBlocks blocks := {}) := {</span><br><span>             data_egprs := {</span><br><span>                      mcs := mcs,</span><br><span>                  mac_hdr := {</span><br><span></span><br></pre><p>To view, visit <a href="https://gerrit.osmocom.org/c/osmo-ttcn3-hacks/+/22728">change 22728</a>. To unsubscribe, or for help writing mail filters, visit <a href="https://gerrit.osmocom.org/settings">settings</a>.</p><div itemscope itemtype="http://schema.org/EmailMessage"><div itemscope itemprop="action" itemtype="http://schema.org/ViewAction"><link itemprop="url" href="https://gerrit.osmocom.org/c/osmo-ttcn3-hacks/+/22728"/><meta itemprop="name" content="View Change"/></div></div>

<div style="display:none"> Gerrit-Project: osmo-ttcn3-hacks </div>
<div style="display:none"> Gerrit-Branch: master </div>
<div style="display:none"> Gerrit-Change-Id: If2cadbc7087ac0f99537b9916ef0c23363c9242c </div>
<div style="display:none"> Gerrit-Change-Number: 22728 </div>
<div style="display:none"> Gerrit-PatchSet: 1 </div>
<div style="display:none"> Gerrit-Owner: pespin <pespin@sysmocom.de> </div>
<div style="display:none"> Gerrit-MessageType: newchange </div>